focusIR May 2024 Investor Webinar: Blue Whale, Kavango, Taseko Mines & CQS Natural Resources. Catch up with the webinar here.
Monty9,
You can raise these questions directly to the company via investor relations. I am confident they will answer these for you.
However, here's my attempt:
1. The adjusted profit adds back £18M of share based payment. Does this mean it will not be repeated (perhaps distribution of LTIP to new staff)?
Note 27 on page 187/188 of the 2022 Financial Report explains what share based payments are. On page 161 there is an explanation as to why they exclude the share-based payments from the adjusted EBITDA. As far as I understand these payments are one offs as they are associated with the M&A activity.
2. What were £4M of retention payments?
I believe these are cash payments in lieu of share-based remuneration committed as part of the acquisition of Banias Labs. This is also explained on page 161 of the 2022 report.
3. Added back the amortisation of acquired intangibles of £6M. Will these not repeat during the following years?
Again, they exclude amortisation of acquired intangibles because Alphawave believe them not to be representative of the underlying operational performance of the business.
This why on another board I stated they had in fact made a pre-tax profit. It's going to get significantly better in future periods as well. The Company also have no need at this time to make further acquisitions so we shouldn't have to expect these kinds of payments in the future too often.
Barcap,
It was an IPO not a share placing and don't try and tell me that they are the same thing! They might both raise capital for the company but the former sells the company to the 'public'. They made three acquisitions the total purchase value of which exceeded the amount that was raised to the company in the IPO.
The loan covenant required them to maintain a FCCR ratio above 1.25. FCCR is financial metric used to assess the ability of the company to meet its fixed financial obligations. A value greater than 1 indicates that the company is generating sufficient income to cover its fixed charges and therefore a lower risk of default.
The reason they breached the covenant was principally due to a higher working capital requirement as a result of a significant reduction in deferred revenue, a higher proportion of lower margin silicon revenue at the beginning of the year and increased investment in R&D activities, as anticipated, as the Company invests in its own products business.
On Friday the Company established an amendment to the credit agreement with their lenders which suspends the FCCR ratio for the period from the quarter ended 30 September 2023 to the quarter ending 30 June 2024, after which it is set at 1.10x until the quarter ending 30 September 2025 when it reverts to 1.25x.
They inherited the lower margin silicon revenue through their acquisition of OpenFive which was a lower margin ASIC business selling custom silicon with nodes higher than 7nm and typically in 28nm range. The Company have already made rapid progress in transforming OpenFive into a higher margin business by integrating the Company's silicon IP and the revenue expected in H2 (and already in the backlog) and into next year will come from the higher margin products (which are in the 7nm down to 2nm range). So while the EBITDA margin has currently fallen to 17% they can still maintain the previously stated guidance of 25% EBITDA margin for end of 2023.
This margin can also be expected to substantially improve over the next two to three years.
"Maybe that was the case pre 2021, it's certainly not anymore. The debt will be the death of them, I'm not a shorter, however if I was going to short anything then it would be this."
This statement only shows your zero comprehension for this stock. They currently have more in cash and cash assets $122.7m than of debt $100m. They acquired the debt facility last year with the intention to utilize it otherwise what is the point in acquiring a facility larger than what is needed? Comparatively, to their US counterparts their debt position is fine. If their breech of the FCCR was really an issue the lenders wouldn't have given them a waiver permitting the breech until June 2024.
The lower margins are down to what they inherited from OpenFive and R&D investment for the Connectivity products due to launch in 2024.
If you listen to the investor call, you will know that the current H1 EBITDA margin of 17% will revert back to the 25% figure as per their guidance for 2023 due to higher profitability expected in H2 which can already be forseen in their backlog as most of it is from higher margin revenue off their high end IP and Custom Silicon. You can still expect a small loss to be reported for end of 2023 but as they move into 2024 they will move back into profit territory as the revenues start to scale up.
It's true what YamR1man says in regards to UK stocks. The FTSE 100 and All Share indices have been flat now for more than 6 years (apart from the Corona pandemic induced February 2020 dip and eventual recovery). I do think AWE sits in a different space though. It moved from its low point in February mainly thanks to the AI fervor that struck around May of this year and continued momentum after the July update indicated them being on track to achieve the guidance revenue.
It's worth noting that AWE's peers on NASDAQ have seen similar declines in recent weeks.
E.g.
Marvell was $66 on 1 August and fell to $57 by 18 August
Credo was $18.50 on 20 June and fell to $13.90 by 17 August
Rambus was $66 on 26 May and fell to $49.30 by 10 August
Ceva was $27 on 31 Jul and fell to $19.80 by 16 August
Broadcom was $920 on 25 Jul and fell to $817 by 18 August
So, essentially the AI fervor has died off somewhat but the expectation for growth in the sector particularly in the world of datacenters and AI remains strong for the medium term outlook. It should easily outpace the general global economy. Keep an eye on the health of the datacenter / AI economy, and check that AWE are continually demonstrating revenue growth and increasing capture of their addressable markets. This way you can determine if the investment prospect here is still compelling or not.
Last month was the 60th DAC in San Francisco. This Design Automation Conference is mostly attended by EDA companies, but Alphawave Semi also made a presence and demoed their latest design wares in: Chiplets, High Bandwidth Memory (HBM3), Serdes (3nm 112gb XLR PAM4) and PCIe Gen6 with PAM4.
In their demo of the 112gb Ethernet, they showed that their Bit Error Rate (BER) was four orders magnitude better than the spec requirement.
$71.7 billion market cap company Micron Technology’s Vice President, Praveen Vaidyathan, noted that, “The tape-out of Alphawave Semi’s HBM3 solution in TSMC’s most advanced 3nm process is an exciting new milestone. It allows cloud service providers to leverage Alphawave Semi’s HBM3 IP subsystems and custom silicon capabilities to accelerate AI workloads in next-generation data center infrastructure.”
https://semiwiki.com/ip/332674-alphawave-semi-visit-at-60dac/
For me the good news from the trading update is that there is no change to guidance revenue for 2023. I was not expecting a change and it is good to have confirmation they are still on track to achieve it. Despite the uncertain macroeconomic environment (as the update puts it), the company’s growing pipeline reflects positive secular growth trends in data infrastructure markets and the continued investment in next-generation AI- centric connectivity solutions.
It's worth noting that the guidance revenue is 90% higher than 2022 actual revenue.
I like to do a regular comparison against their competitors to ascertain where Alphawave Semi should be priced. There are five main competitors in the connectivity space: Broadcom, Marvell, Rambus, Credo and Ceva. One of the approaches I take is to look at the EBITDA multiple. I can do this for the first three competitors listed as they all have positive operating cash flow (Credo and CEVA have negative cash flows so cannot use EBITDA multiple). The average EBITDA multiple of the three (Broadcom, Marvell and Rambus) based on current prices is 42. Alphawave EBITDA multiple (based on 2022 EBITDA and current price of £1.57) is 31.
The equivalent price for Alphawave on an EBITDA multiple of 42 is £2.13.
So on that basis Alphawave is still undervalued by more than 35% against it peers by this metric.
If I use the forward guidance 2023 EBITDA of $87 million then this yields an equivalent price of £3.93.
Another approach using 12 month forward P/S ratio comparison with all five competitors gives us an average ratio of 8.36 whereas Alphawave forward P/S ratio is currently 4.1. Again, by this metric Alphawave should be valued at £3.14 if were to trade at the 8.36 average 12 month forward P/S of its peers.
So to conclude Alphawave remains significantly undervalued compared to its peers.
It can be found here: https://awavesemi.com/optical-connectivity-in-the-data-center/
Just to expand on my earlier response, I should add, that it is not unheard of to go short on a stock that you are ultimately long on. I’ve done it myself, where I have had a fairly large long position, the stock price goes up significantly and I decide that it's likely to retrace, so instead of selling some of the long position, I may take out a smaller CFD short and look to close the short when I think the retrace is done. That way, I’ve taken advantage of the retrace and can then add to the long position with some or all of the profit. The short may not always work in my favour but as long as I close a losing short at my determined stop loss, it’s a minimized loss and my long position will have compensated for the loss anyway.
Hedge funds normally short stock to protect other assets but there are strategies where they can heavily short a stock they intend to go long on, usually in conjunction with others doing the same, and once the price reaches the desired low, they may attempt to build a significant long position while keeping the short open and once they have reached the desired long position size they then close their shorts positions.
There is a link above that provides you with access to the full shorting history on this stock for all short positions greater than 0.5% of the issued share capital. This appears to suggest that JP Morgan has never taken a short position unless it was below the 0.5% threshold. Hopefully, this answers your question.
** Jefferies says the semiconductor sector has entered a new
upcycle and expects it to result in material re-rating and
strong EPS growth over the next two years
** "We expect semiconductor growth rates to accelerate
through H2-23 following the ending of the inventory correction
in many segments like PCs, smartphones and servers," it says
** The broker expects global semiconductor revenue growth to
move into positive territory by early 2024, and to further
accelerate to H1 2025 cycle peak
** It predicts growth to positively surprise through
2024-2025 on the back of structural drivers including AI, cloud,
edge, IoT, EVs, ADAS, renewable energy and VR/AR
** "We forecast the industry to expand to $1trn over the
next 8-9 years," Jefferies says, and forecasts EPS of the stocks
it covers to grow between 35% and 180% in 2023-2025
** Jefferies raises STMicroelectronics STMPA.PA , Infineon
IFXGn.DE and Melexis MLXS.BR to "hold" from "underperform"
** Its top picks are ASML ASML.AS , ASM International
ASMI.AS , Aixtron AIXGn.DE , Soitec SOIT.PA and Alphawave
AWE.L (all "buy"), as it sees 48%-101% upside to their stock
prices over 12 months
Alphawave Semi announced two new tapeouts on Monday on Taiwan Semiconductor Manufacturing Co's (TSMC) most advanced 3nm process of its High Bandwidth Memory 3 (HBM3) PHY and Universal Chiplet Interconnect Express™ (UCIe™) PHY IPs, paving the way for a new generation of chiplet-enabled silicon platforms, tailored for hyperscaler and data infrastructure customers. Notably, Alphawave Semi is the first company to announce UCIe PHY IP supporting faster die-to-die data rates of 24Gbps per lane, delivering an impressive bandwidth of 7.9 Terabits per second over a tight space of a mm of a chip beachfront.
3nm process technology is vital for creating advanced chips that can effectively handle the exponential surge in data generated by AI, with demands for more compute, memory bandwidth, I/O speeds, and energy efficiency. Alphawave Semi’s 3nm chiplet-enabled custom silicon platform is built on flexible and customizable connectivity IP. Customers benefit from Alphawave Semi’s application optimized IP subsystems and experience with the TSMC 3DFabricTM ecosystem to integrate advanced interfaces such as CXLTM, UCIeTM, HBMx, and Ethernet on to custom chips and chiplets.
More details on AWE's website:
https://awavesemi.com/press-release/alphawave-semi-spearheads-chiplet-based-custom-silicon-for-generative-ai-and-data-center-workloads-with-successful-3nm-tapeouts-of-hbm3-and-ucie-ip/
Praveen Vaidyanathan, Vice President and General Manager of Micron’s Compute Products Group said, “Generative AI is pushing the performance requirements in data centers, fueling the demand for advanced memory solutions like HBM3 to provide very high bandwidth with improved energy efficiency. The tape-out of Alphawave Semi’s HBM3 solution in TSMC’s most advanced 3nm process is an exciting new milestone. It allows cloud service providers to leverage Alphawave Semi’s HBM3 IP subsystems and custom silicon capabilities to accelerate AI workloads in next-generation data center infrastructure.”
Dr. Debendra Das Sharma, Chairman, UCIe Consortium said, “We’re excited to see Alphawave Semi tape out a 24Gbps/lane UCIe IP in a 3nm process. This milestone demonstrates how UCIe can help fuel innovation through leading edge chiplet connectivity, and we welcome Alphawave Semi’s commitment to delivering IPs that support the development of an open chiplet ecosystem.”
“With our vertically integrated semiconductor focus, we’re excited to deliver a comprehensive 3nm chiplet connectivity platform for hyperscaler and data-infrastructure customers to keep pace with the surge in data-intensive applications like generative AI”, said Tony Pialis, CEO and co-founder of Alphawave Semi. “Our latest 3nm tapeouts are a testament to Alphawave Semi’s dedication to technology leadership in connectivity and our collaborative efforts in fostering an open chiplet ecosystem.”
The company press release on Wednesday might explain the slight surge in buys that day...
https://awavesemi.com/press-release/alphawave-semi-expands-collaboration-with-samsung-adds-3nm-connectivity-ip-to-meet-accelerated-ai-and-data-center-demand/
Intraday volatility is something that one might have to get used to with this kind of stock but it hasn’t quite had the meteoric volatility of its near identical twin on NASDAQ. Credo was sitting pretty at $19 back in February when overnight it dropped to less than $10 and with a few minor ups and downs gradually declined to $7.50 in early May, it then made a sharp but steady rise to $13.50 by late May to take a mini breather before its latest ascent to $18 as of last night. That’s essentially a 150% return from its low point in the space of a month and a bit.
As I mentioned before, its price has now slightly exceeded the analysts current target consensus ($16) but still has a discounted cash flow model fair valuation of $28.22 however.
A couple of interesting observations I can make regarding Alphawave is firstly that the trading volumes haven’t been particularly significant in the last three weeks where the price has risen from an intraday low of £0.96 to £1.38. There was one day back on 30th May when the daily volume nearly approached 7 million but every day since it has rarely exceeded a million. Secondly, there’s Kuvari Partners hedge fund that still as far as we know has a short exposure of approximately 6.3 million shares, a good chunk of which was shorted at prices well above today’s price although they also placed a recent short of approximately 400,000 shares at around £1.16 on 22 May (last trading before the suspension).
Whatever Kuvari’s trading strategy here is, they have at some point to close these shorts to take the profit and 6 million is quite a lot which could easily add significant pressure to the buy side if closed too quickly. I am wondering if these are now being closed steadily at a trickle pace and may be part of the reason for the recent uptrend coupled with the AI mania of private investors. A trading update is likely due in about a month’s time and if the guidance on the revenue and bookings remains unchanged or to the upside then in my view there’s a significant risk for Kuvari in getting these shorts closed in time before a significant price increase erodes the profit on those shorts.
AWE is somewhat isolated on the LSE as the only semiconductor player of midcap size and above. Its peers are mostly on NASDAQ where the AI fever is in full swing - the likes of Marvell, Broadcom, Rambus etc., are all rated as considerably overpriced when using DCF models and their analysts are only giving target prices in line with where they are already at. On the Taiwanese exchange its peers are also overpriced on DCF.
Credo, also on NASDAQ are very similar to AWE in many respects but by comparison they are generating marginally less revenue with more than twice the market capital, while their price already slightly exceeds the analysts targets. The DCF model however indicates it is nearly 40% undervalued.
Analysts target price for AWE is nearly double its current price and DCF modelling currently yields a fair value £2.20. If they continue to hit or exceed their revenue expectations and continue to sustain or even improve on their EBITDA margin then a price correction will be an inevitability even it doesn't come before then.
Morning Barcap.
"I tend to invest in people (Directors) as much as I in do business models and I believe my short is in good hands with the quality of directors in AWE."
Investing in 'people' is a wise approach to good investing. So would you care to expand on what attributes you think the directors of Alphawave have that leads you to the conclusion they will destroy any value this company has?
"All the acquisitions, many of which are bad and non profitable." ... Gosh, how many acquisitions do you think they 've done? How would you know they are bad and unprofitable?
"One of the acquisitions was heavily China centric now blocked from trading in China (that was good timing)."
Please don't make stuff up.
"Revenue is vanity and the products and area AWE are into is not state of the art also."
So, the industry first, 112G Ethernet and PCIe 6.0 SerDes IP on TSMC's 3nm process node isn't state of the art?
Which company has TSMC, the largest silicon foundry in the world, announced as this year's winner of the OIP (Open Innovation Platform) Partner of the year for high speed SerDes IP for the third consecutive year? It wouldn't happen to be Alphawave Semi would it? Oh but would you have it, so it is! How come that is so? It wouldn't be due to the fact they are leaders in state-of-the-art SerDes connectivity would it? Yes sir that would be the reason!
As for revenue, this is up 100% on last year, with a significant contribution coming from one of their three acquisitions, which you apparently call bad and unprofitable. First quarter 2023 bookings reported in excess of $100 million. Not bad for a company with 'many' bad and non profitable acquisitions huh?
All the best and good luck.
That last sentence was meant to read: AWE is also the most undervalued stock among its silicon IP peers.
Well done Barcap,
Stockopedia gives Alphawave Sucker Stock status with the following miserable scores out of 100; Stock Rank: 14 Quality: 25 Value: 34. It did however, until only very recently, have the maximum Growth ranking score of 100. For whatever peculiar reason this has now dropped to 97. It has an Earnings Manipulation Risk (M-Score) of High, a Health Trend (F-Score) of 2 out of 9 and therefore subsequently qualifies for two short selling screens (the Beineish M-Score and James Montier Unholy Trinity Screens).
Kuvari Partners increased their short position on 28th April by roughly 630,000 shares, and a further 630,000 shares on 23rd May for a total short position of 0.91% (6.4 million shares). They first crossed the 0.05% threshold with a 0.62% position back in November 2021 when it was around £1.80 and so is in healthy profit. It will be interesting to see when they eventually close them as a buyback of 6.4 million shares will take a bit of time without unduly affecting the price movement.
Bear in mind when going short based on short-selling screen selections for stocks that have already taken a beating like Alphawave who have only fairly recently listed with a large cash raise and invested the funds in acquisitions that are transformational for the business then those actions by default tend to trigger the negative financial indicators that makes them seem favourable for shorting.
The Financial Times Alphaville column (a fave among Pro shorters) did an article Friday 19th May, titled “Alphawave: omegawhoops” with subheading ‘Fabless, erroneous and semi detached.
Basically the article emphasizes all the negative changes between the prelims and audited results as well as highlighting the departure of the CFO. It does however finish off with a mildly positive broker note from JP Morgan:
“The new audited revenue is 11.4% ahead of what consensus was expecting when the results were due. The new audited Adj. EBITDA is 19.9% below what the consensus was expecting, with the audited margin of 25.3% below the expected 35.1% margin. The fact that the company had spent more was known at the last report; that the miss was much more is not positive, although the fact that the cash flow did not change in the audit is a positive. . . . [On the balance sheet] all the changes are non-cash so there is no change in the reported cash flow of the company, which we view as a key positive.”
Incidentally, the audited margin was in fact in line with what had been communicated at the Capital Markets Day presentation at 25%. The Q3 trading update had predicted amargin of 32 to 36% but this was before the Banias acquisition.
On a more positive note AWE qualifies for a R&D breakthrough screen selection based on quality factors related to how much a company is investing in its future development. SimplyWallSt give AWE a DCF fair value of £2.18 and a current average target price based on 3 analysts of £2.67. AWE is also the most underv
Yes Nvidia's revenue for data centres for the year was $15billion. Up 41% YOY.
And Automotive sector revenue almost $1 billion. Up 60% YOY.
Crucially these are the sectors that Alphawave's products supply to.
"Chief Executive Jensen Huang said accelerated computing and generative artificial intelligence is propelling two simultaneous transitions in the computer industry. "We are significantly increasing our supply to meet surging demand for them."
Incidentally, Alphawave Semi have recently introduced a new buzz marketing phrase in their communications: "Accelerating the Connected World". Accelerator chiplets form a key part of their product range.
Olly,
I've not read the Nvidia report. Just saw the highlights a few minutes ago. First quarter revenue down 13% but data centre revenue up by 14%. Data centres being the main niche area for Alphawave as a specialist in connectivity especially high speed low power SerDes products.
Considering that organic growth (outside of China) for Alphawave was about 13% against a macroeconomic downtrend, I personally think Alphawave are very well. Remember that Alphawave also enjoyed highest ever quarterly bookings of $103 million, which by the way is absent of any Wisewave and Verisilicon bookings.
I'm holding back from adding for now as I want to see the dust settle on the debt ceiling fiasco.